niusouti.com

3/8译码器是将()A、3位8进制数转换为2进制数B、3位2进制数转换为8进制数C、3位2进制数转换为8位输出D、3位8进制数转换为8位输出

题目

3/8译码器是将()

  • A、3位8进制数转换为2进制数
  • B、3位2进制数转换为8进制数
  • C、3位2进制数转换为8位输出
  • D、3位8进制数转换为8位输出

相似考题
参考答案和解析
正确答案:C
更多“3/8译码器是将()”相关问题
  • 第1题:

    3-8译码电路是()译码器。

    A、三位二进制

    B、三进制

    C、三-八进制

    D、八进制


    参考答案:A

  • 第2题:

    下列哪些组合能实现一个5-32二进制译码器()。

    A、2个3-8译码器和一个非门

    B、3个3-8译码器和一个非门

    C、4个3-8译码器和一个非门

    D、5个3-8译码器


    参考答案:CD

  • 第3题:

    二—十进制译码器也称()译码器,它的功能是将输入的一位()码(四位二元符号)译成10个高、低电平输出信号,因此也叫4—10译码器。


    参考答案:BCD、BCD

  • 第4题:

    对8421BCD码进行译码应选用()

    A、3线——8线译码器

    B、4线——10线译码器

    C、2线——4线译码器

    D、4线——16线译码器


    参考答案:B

  • 第5题:

    译码器是将二进制代码翻译成相应信号的电路


    正确答案:正确

  • 第6题:

    二进制译码器是将某个特定控制信息转换成二进制数。


    正确答案:错误

  • 第7题:

    二一十进制译码器是部分译码器。


    正确答案:正确

  • 第8题:

    欲将容量为128*1的RAM扩展为1024*8,则需要控制各片选端的辅助译码器的输出端数为()

    • A、1
    • B、2
    • C、3
    • D、8

    正确答案:D

  • 第9题:

    74LS138是()。

    • A、集成3线-8线译码器
    • B、集成3线-8线数据选择器
    • C、集成8线-3线译码器
    • D、集成3线-8线数据分配器

    正确答案:A,D

  • 第10题:

    二进制译码器的功能是将输入的二进制代码译成相应的输出信号,常见的二进制译码器有()。

    • A、8-3译码器
    • B、2-4译码器
    • C、4-16译码器
    • D、3-8译码器

    正确答案:B,C,D

  • 第11题:

    单选题
    3线-8线译码器,有()个地址输入端、()个输出端。
    A

    3、8

    B

    8、3

    C

    6、8

    D

    3、11


    正确答案: C
    解析: 暂无解析

  • 第12题:

    多选题
    74LS138是()。
    A

    集成3线-8线译码器

    B

    集成3线-8线数据选择器

    C

    集成8线-3线译码器

    D

    集成3线-8线数据分配器


    正确答案: C,D
    解析: 暂无解析

  • 第13题:

    下列有关译码器的说法哪些是不正确的?()

    A、译码器不一定是一个最小项发生器

    B、3-8译码器是一个最小项发生器

    C、译码器可以作为数据分配器使用

    D、在负逻辑约定中,译码器输出端低有效表示输出0有效


    参考答案:D

  • 第14题:

    利用2个74LS38和个非门,可以扩展得到个( )线译码器。

    A、4-16

    B、3-8

    C、2-4

    D、无法确定。


    参考答案:A

  • 第15题:

    TTL集成电路74LS138是3/8线译码器,译码器为输出低电平有效,若输入为A2A1A0=101时,输出:为()。

    A、00100000

    B、11011111

    C、11110111

    D、00000100


    参考答案:B

  • 第16题:

    用1片3-8译码器和若干片8K?8位的SRAM芯片,可构成的8位存储器最大容量为

    A.128KB

    B.16KB

    C.32KB

    D.64KB


    正确答案:D

  • 第17题:

    高电平有效的3/8译码器在C,B,A输入011时,输出()为1。

    • A、Y1
    • B、Y3
    • C、Y5
    • D、Y7

    正确答案:B

  • 第18题:

    74LS138是3-8译码器。


    正确答案:正确

  • 第19题:

    74LS138是具有3个输入的译码器芯片,其输出作为片选信号时,最多可以选8片芯片。()


    正确答案:正确

  • 第20题:

    译码器的逻辑功能是将某一时刻的()输入信号译成唯一的输出信号,因此通常称为()译码器。


    正确答案:多个;多一

  • 第21题:

    3—8译码器74LS138在全译码寻址方式中,译码器的输出端通常与单片机接口芯片的()端连接。


    正确答案:片选

  • 第22题:

    判断题
    74LS138是3-8译码器。
    A

    B


    正确答案:
    解析: 暂无解析

  • 第23题:

    判断题
    3线—8线译码器电路是三—八进制译码器。
    A

    B


    正确答案:
    解析: 暂无解析