niusouti.com
更多“25、HDL语言已经成熟,近十年来,没有出现新的HDL语言”相关问题
  • 第1题:

    硬件描述语言(HDL,HardwarEDescriptionLanguage)是一种能够以形式化描述电路结构和行为并用于模拟和综合的高级描述语言。()


    参考答案:正确

  • 第2题:

    目前常用的硬件描述语言为:Verilog HDL和 VHDL。()

    此题为判断题(对,错)。


    参考答案:对

  • 第3题:

    LCAT的最优底物是

    A、VLDL

    B、IDL

    C、LDL

    D、新生HDL

    E、成熟HDL


    参考答案:D

  • 第4题:

    新生HDL向成熟HDL转化需要

    A:磷脂不断进入
    B:胆固醇酯不断进入
    C:游离脂肪酸不断进入
    D:甘油三酯不断进入
    E:脂蛋白(a)不断进入

    答案:B
    解析:
    肝脏合成的新生HDL以磷脂和ApoAⅠ为主。在LCAT作用下,游离胆固醇变成胆固醇酯,脂蛋白则变成成熟HDL。

  • 第5题:

    目前常规检验方法中通常测定下述何种物质来反映人体内HDL的含量()

    • A、HDL中的甘油三酯
    • B、HDL中的胆固醇
    • C、HDL中的磷脂
    • D、HDL中的载脂蛋白
    • E、HDL中的脂蛋白(α)

    正确答案:B

  • 第6题:

    Verilog HDL语言进行电路设计方法有哪几种?


    正确答案: 1、自上而下的设计方法(Top-Down)
    2、自下而上的设计方法(Bottom-Up)
    3、综合设计的方法

  • 第7题:

    硬件描述语言(HDL)是一种用软件编程的方式来描述电子系统的逻辑功能、电路结构和连接形式的计算机语言。


    正确答案:正确

  • 第8题:

    目前常规检验方法中通常测定下述何种物质来反映人体内HDL的含量()

    • A、HDL中的甘油三酯
    • B、HDL中的胆固醇
    • C、HDL中的磷脂
    • D、HDL中的载脂蛋白
    • E、HDL中的糖脂

    正确答案:B

  • 第9题:

    硬件描述语言的两种主要标准是()

    • A、VHDL和Verilog HDL
    • B、VHDL和AHDL
    • C、AHDL和Verilog HDL
    • D、Verilog HDL和MHDL

    正确答案:A

  • 第10题:

    名词解释题
    HDL硬件描述语言

    正确答案: 指对硬件电路进行行为描述、寄存器传输描述或者结构化描述的一种新兴语言。
    解析: 暂无解析

  • 第11题:

    按照处理的HDL语言类型,仿真器可以分为()。

    A、VerilogHDL仿真器

    B、VHDLHDL仿真器

    C、混合仿真器


    参考答案:ABC

  • 第12题:

    下列关于对HDL与HDL-C的叙述不正确是()

    A.HDL是高密度脂蛋白颗粒,是体内抗动脉粥样硬化物质

    B.HDL-C是HDL颗粒中所含的胆固醇

    C.临床上易于测得HDL-C,用HDL-C间接反映HDL水平

    D.HDL-C等同于HDL


    正确答案:D

  • 第13题:

    目前常规检验能反映人体内HDL的含量的项目是

    A.HDL中的三酰甘油
    B.HDL中的磷脂
    C.HDL中脂蛋白(α)
    D.HDL中的胆固醇
    E.HDL中的载脂蛋白

    答案:D
    解析:
    考查血脂的测定,因为脂蛋白中的胆固醇含量相对恒定,所以在目前常规检验方法中通常测定HDL-C来反映HDL的水平。

  • 第14题:

    传统的系统硬件设计方法是采用自上而下(top down)的设计方法,利用硬件描述语言(HDL)的硬件电路设计方法采用自下而上(bottom up)的设计方法。


    正确答案:错误

  • 第15题:

    简述Verilog HDL编程语言中函数与任务运用有什么特点?


    正确答案: 函数和任务都能独立完成相应电路功能,通过在同一模块中的调用实现相应逻辑电路功能。但它们又有以下不同:
    ⑴、函数中不能包含时序控制语句,对函数的调用,必须在同一仿真时刻返回。而任务可以包含时序控制语句,任务的返回时间和调用时间可以不同。
    ⑵、在函数中不能调用任务,而任务中可以调用其它任务和函数。但在函数中可以调用其它函数或函数自身。
    ⑶、函数必须包含至少一个端口,且在函数中只能定义input端口。任务可以包含0个或任何多个端口,且可以定义input、output和inout端口。
    ⑷、函数必须返回一个值,而任务不能返回值,只能通过output或inout端口来传递执行结果。

  • 第16题:

    下列脂蛋白密度由低到高顺序排列正确的是()。

    • A、LDL,HDL,VLDL,CM
    • B、CM,VLDL,LDL,HDL
    • C、VLDL,HDL,LDL,CM
    • D、HDL,VLDL,LDL,CM
    • E、CM,HDL,LDL,VLDL

    正确答案:B

  • 第17题:

    人类开始制造工具这种行为意味着()

    • A、人类已经产生了语言
    • B、人类已经产生了思维
    • C、人类已经同时产生了语言和思维
    • D、人类既没有产生语言,也没有产生思维

    正确答案:B

  • 第18题:

    下述关于HDL的叙述中正确的是()

    • A、HDL颗粒最小
    • B、HDL密度最高
    • C、HDL的蛋白质部分以ApoA为主
    • D、HDL主要在肝脏合成
    • E、HDL是抗动脉粥样硬化因子

    正确答案:A,B,C,D,E

  • 第19题:

    关于HDL以下说法正确的是()

    • A、HDL中蛋白质和脂肪含量约各占一半
    • B、HDL水平升高防止动脉粥样硬化发生
    • C、密度最小
    • D、HDL主要在肝合成
    • E、HDL颗粒最大

    正确答案:A,B,D

  • 第20题:

    问答题
    什么是硬件描述语言?与其它HDL语言相比,用VHDL语言设计电子线路有什么优点?

    正确答案: 可以描述硬件电路的功能,信号连接关系及定时关系的一种语言,称为硬件描述语言。
    和其它HDL语言相比,VHDL语言的优点如下:
    1.易于共享和交流。易于将VHDL代码在不向的工作平台(如工作站和PC机)和开发工具之间交换。
    2.设计结果与工艺无关。设计者可以专心致力于其功能,即需求规范的实现,而不需要对不影响功能的与工艺有关的因素花费过多的时间和精力。
    3.设计方法灵活、支持广泛。VHDL语言可以支持自上而下(TopDown)和基于库(Library-Based)的设计方法,支持同步电路、异步电路、FPGA以及其它随机电路的设计。
    4.系统硬件描述能力强。VHDL语言具有多层次描述系统硬件功能的能力,可以从系统的数学模型直至门级电路。另外,高层次的行为描述可以与低层次的RTL描述和结构描述混合使用。
    解析: 暂无解析