niusouti.com

文中( 4 )处正确的答案是( )。A.touch/var/state/dhcp/dhcpd.1easesB.address/var/state/dhcp/dhepd.leasesC.nat/var/state/dhcp/dhcpd.1easesD.resolve/var/state/dhcp/dhcpd.leases

题目

文中( 4 )处正确的答案是( )。

A.touch/var/state/dhcp/dhcpd.1eases

B.address/var/state/dhcp/dhepd.leases

C.nat/var/state/dhcp/dhcpd.1eases

D.resolve/var/state/dhcp/dhcpd.leases


相似考题
参考答案和解析
正确答案:A
更多“文中( 4 )处正确的答案是( )。A.touch/var/state/dhcp/dhcpd.1easesB.address/var/state/ ”相关问题
  • 第1题:

    在大型网络中,通常采用DHCP完成基本网络配置会更有效率。在Linux系统中,DHCP服务默认的配置文件为 (34) 。

    A./etc/sbin/dhcpd.conf
    B./etc/dhcpd.conf
    C./var/state/dhcpd.config
    D./usr/sbin/dhcpd.config

    答案:B
    解析:
    在Linux操作系统中,与DHCP相关的主要配置文件如下:DHCP服务器配置文件/etc/dhcpD.conf,启动DHCP服务的脚本/etc/rC.d/ink.d/dhcpd,执行DHCP服务的程序/usr/sbin/dhcpd,记录客户端租用信息的文件/var/state/dhcpD.1eases。

  • 第2题:

    下列Moore型状态机采用Verilog语言主控时序部分正确的是:

    A.always@(posedge clk or negedge reset) begin if(!reset) current_state<=s0; else current_state<=next_state; end

    B.always@(posedge clk ) begin if(!reset) current_state<=s0; else current_state<=next_state; end

    C.always@(posedge clk t) if(reset) current_state<=s0; else current_state<=next_state;

    D.always@(posedge clk or negedge reset) if(reset) current_state<=s0; else current_state<=next_state;


    always@(posedge clk or negedge reset) begin if(!reset) current_state<=s0; else current_state<=next_state; end

  • 第3题:

    以下的描述中,必然是对Mealy型状态机的描述的是?

    A.always @(*) case (state) S0: begin out = 0; if (in) next_state = S1; else next_state = S2; end ……#B.always @(*) case (state) S0: begin if (in) next_state = S1; else next_state = S0; end ……#C.always @(*) case (state) S0: begin if (in) begin next_state = S1; out=1 end else next_state = S0; end ……#D.以上答案均不正确
    always @(*) case (state) S0: begin if (in) begin next_state = S1; out=1 end else next_state = S0; end ……

  • 第4题:

    4、以下的描述中,必然是对Mealy型状态机的描述的是?

    A.always @(*) case (state) S0: begin out = 0; if (in) next_state = S1; else next_state = S2; end ……#B.always @(*) case (state) S0: begin if (in) next_state = S1; else next_state = S0; end ……#C.always @(*) case (state) S0: begin if (in) begin next_state = S1; out=1 end else next_state = S0; end ……#D.以上答案均不正确
    always @(*) case (state) S0: begin if (in) begin next_state = S1; out=1 end else next_state = S0; end ……

  • 第5题:

    定义状态机当前状态为state ,次态为next _state; 输入a,输出b, 则下列为Mealy状态机的写法是:

    A.always@(posedge clk) case (state ) 0:next_state<=1; 1:next_state<=x;#B.always@(posedge clk) case (state ) 0: if(a==0)next_state<=1; else next_state<=x; 1:next_state<=x;#C.always@(posedge clk) case (state ) 0: if(state==0)next_state<=1; else next_state<=x; 1:next_state<=x;#D.以上都正确
    MACP