niusouti.com
更多“当奇数个1相异或时,其值为0;当偶数个1相异或时,其值为1。() ”相关问题
  • 第1题:

    当两个输入变量相异时,其输出为1;相同时,输出为0,则输出与输入的逻辑关系为()。

    A.异或

    B.与非

    C.同或

    D.或非


    B

  • 第2题:

    关于计数器,以下说法中哪个是不正确的 。

    A.当计数器PV值为“0”时,其逻辑输出“Q”为“0”

    B.当“CU”或“CD”的输入信号从“0”→“1”时,CV值就“+1”或“-1”

    C.S”端的输入信号与“CU”或“CD”的信号可以相同

    D.计数器的输入信号可以是脉冲信号


    S ”端的输入信号与“ CU ”或“ CD ”的信号可以相同

  • 第3题:

    关于计数器,以下说法中哪个是不正确的()。

    A.当计数器PV值为“0”时,其逻辑输出“Q”为“0”

    B.当“CU”或“CD”的输入信号从“0”→“1”时,PV值就“+1”或“-1”

    C.“S”端的输入信号与“CU”或“CD”的信号可以相同

    D.计数器的输入信号可以是脉冲信号


    S ”端的输入信号与“ CU ”或“ CD ”的信号可以相同

  • 第4题:

    A、B取值相异时,其逻辑函数值为1,相同时为0,称为异或运算。


    正确

  • 第5题:

    试用一片译码器74138和最少的门电路设计一个奇偶校验器,要求当输入变量ABCD中有偶数个1时输出为1,否则为0。(ABCD为0000时视作偶数个1)。


    (1) 真值表 如下 X 2 X 1 X 0 Y 0 0 0 1 0 0 1 1 0 1 0 1 0 1 1 1 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 0 (2) (3) 电路连线图如下: