niusouti.com
更多“15、VHDL程序中,不能缺的部分是:”相关问题
  • 第1题:

    VHDL程序中必不可少的是实体和结构体。()

    此题为判断题(对,错)。


    参考答案:对

  • 第2题:

    VHDL程序的基本结构至少应包括()、结构体两部分和对库的引用声明。


    正确答案:实体

  • 第3题:

    一个完整的VHDL语言程序通常包含(),结构体(architecture),配置(configuration),包集合(package)和库(library)5各部分。


    正确答案:实体(entity)

  • 第4题:

    VHDL程序的基本结构至少应包括实体、()两部分和对库的引用声明。


    正确答案:结构体

  • 第5题:

    计算机硬件不能直接识别和运行的有()程序。

    • A、 机器语言
    • B、 汇编语言
    • C、 高级语言
    • D、 VHDL

    正确答案:B,C,D

  • 第6题:

    试述VHDL程序中实体和结构体的相互关系。


    正确答案:实体和结构体的作用都是用于描述设计对象。
    其不同点在于,实体用于描述该设计对象与外部电路的接口。
    结构体用于描述设计对象的内部结构、具体实现以及实体端口间的逻辑关系。

  • 第7题:

    在VHDL程序中,以下4个部分,()可以有顺序执行语句。

    • A、结构体(ARCHITECTURE)
    • B、进程(PROCESS)中的关键词BEGIN前
    • C、进程(PROCESS)中的关键词BEGIN后
    • D、程序包(PACKAGE)

    正确答案:B,C

  • 第8题:

    结构体中的变量应在VHDL程序中()部分给予说明。

    • A、结构体对应的实体的端口表中
    • B、结构体中关键词BEGIN前
    • C、结构体中关键词BEGIN后
    • D、程序包(PACKAGE)

    正确答案:D

  • 第9题:

    问答题
    什么是VHDL?VHDL的实现有哪几种形态?

    正确答案: 硬件描述语言(Hardware Description Language,HDL),顾名思义,是电子系统硬件行为描述、结构描述、数据流描述的语言。VHDL语言的英文全名是Very High Speed Integrated Circuit Hardware Description Language,即超高速集成电路硬件描述语言。
    解析: 暂无解析

  • 第10题:

    填空题
    VHDL程序的基本结构至少应包括实体、()两部分和对库的引用声明。

    正确答案: 结构体
    解析: 暂无解析

  • 第11题:

    问答题
    简述VHDL程序的基本结构。

    正确答案: 库 library ieee;
    程序包 use ieee std_logic_1164.all;
    实体 entity
    实体名 is
    结构体 architecture
    结构体名 of
    配置
    解析: 暂无解析

  • 第12题:

    填空题
    VHDL程序的基本结构至少应包括()、结构体两部分和对库的引用声明。

    正确答案: 实体
    解析: 暂无解析

  • 第13题:

    VHDL中的子程序包含函数和过程两种,下列关于VHDL中的函数和过程的叙述错 误的是(31)。

    A.函数内部的描述语句不能对函数体外定义的信号或变量赋值

    B.函数是不可综合的

    C.过程中的变量需要在每次调羽时初始化

    D.过程语句体中的顺序描述语句可以对过程语句外的信号赋值


    正确答案:B
    本题考查逻辑设计语言VHDL的基硎知识。在VHDL语言中,函数和过程统称为子程序。子程序与进程的相同点是:内部包含的都是顺序描述代码,使用相同的顺序描述语句,比如if'case和loop。不同点是:进程可以直接在主代码中调用,子程序一般在建库的时候使用,同时子程序中不能使用wait语句。一个函数就是一段顺序描述的代码。时于一些经常遇到的具有共性的设计问题都可以使用函数来实现。由于在每次调用函数时,都要首先对其进行初始化,即一次执行结束后再调用需要再次初始化,因此在函数中禁止进行信号声明和元件实例化,函数是可以被综合的。函数中使用retum来进行返回,一次只能返回一个值返回值的类型由retum后面的数据类型指定。过程可以具有多个输入,输出、双向模式的参数,可以是信号、变量和常量。对于输入模式的参数,默认为常量,对于输出和双向模式的参数,默认为变量。过程中的变量需要在每次调用时候进行处理后,同时其可以对外部的信号进行赋值。

  • 第14题:

    VHDL程序的基本结构包括库、()、实体和结构体。


    正确答案:程序包

  • 第15题:

    VHDL程序一般包含几个组成部分?各部分的作用是什么?


    正确答案: 实体,结构体,库,程序包,配置
    实体:用于描述所设计系统的外部接口特性;即该设计实体对外的输入、输出端口数量和端口特性。
    结构体:用于描述实体所代表的系统内部的结构和行为;它描述设计实体的结构、行为、元件及内部连接关系。
    库:存放已经编译的实体、构造体、程序包集合和配置。
    程序包:存放各设计模块都能共享的数据类型、常数和子程序库;
    配置:实体对应多个结构体时,从与某个实体对应的多个结构体中选定一个作为具体实现。

  • 第16题:

    简述VHDL程序的基本结构。


    正确答案: 库 library ieee;
    程序包 use ieee std_logic_1164.all;
    实体 entity
    实体名 is
    结构体 architecture
    结构体名 of
    配置

  • 第17题:

    在VHDL中,PRCESS语句是()执行的,BLOCK语句是()执行的。


    正确答案:顺序;并行

  • 第18题:

    一个完整的VHDL语言程序通常包括:()、()、()、()、()5部分。


    正确答案:实体;构造体;配置;包集合;库

  • 第19题:

    .在VHDL程序设计中,下面4个部分,()不是可编译的源设计单元。

    • A、ARCHITECTURE
    • B、ENTITY
    • C、PROCESS
    • D、PACKAGE

    正确答案:C

  • 第20题:

    多选题
    在VHDL程序中,以下4个部分,()可以有顺序执行语句。
    A

    结构体(ARCHITECTURE)

    B

    进程(PROCESS)中的关键词BEGIN前

    C

    进程(PROCESS)中的关键词BEGIN后

    D

    程序包(PACKAGE)


    正确答案: D,A
    解析: 暂无解析

  • 第21题:

    填空题
    一个完整的VHDL语言程序通常包含(),结构体(architecture),配置(configuration),包集合(package)和库(library)5各部分。

    正确答案: 实体(entity)
    解析: 暂无解析

  • 第22题:

    填空题
    VHDL程序的基本结构包括库、()、实体和结构体。

    正确答案: 程序包
    解析: 暂无解析

  • 第23题:

    问答题
    VHDL程序一般包含几个组成部分?各部分的作用是什么?

    正确答案: 实体,结构体,库,程序包,配置
    实体:用于描述所设计系统的外部接口特性;即该设计实体对外的输入、输出端口数量和端口特性。
    结构体:用于描述实体所代表的系统内部的结构和行为;它描述设计实体的结构、行为、元件及内部连接关系。
    库:存放已经编译的实体、构造体、程序包集合和配置。
    程序包:存放各设计模块都能共享的数据类型、常数和子程序库;
    配置:实体对应多个结构体时,从与某个实体对应的多个结构体中选定一个作为具体实现。
    解析: 暂无解析

  • 第24题:

    单选题
    结构体中的变量应在VHDL程序中()部分给予说明。
    A

    结构体对应的实体的端口表中

    B

    结构体中关键词BEGIN前

    C

    结构体中关键词BEGIN后

    D

    程序包(PACKAGE)


    正确答案: B
    解析: 暂无解析